Effective Thermal Control Techniques for Liquid-Cooled 3D Multi-Core Processors

Yue Hu,  Shaoming Chen,  Lu Peng,  Edward Song,  Jin-Woo Choi
Louisiana State University


Abstract

Microchannel liquid cooling shows great potential in cooling 3D processors. However, the cooling to 3D processors is limited due to design-time and run-time challenges. Moreover, in new technologies, the processor power density is continually increasing and this will bring more serious challenges to liquid cooling. In this paper, we propose two thermal control techniques. 1) Core Vertically Placed (CVP) technique. According to the architecture of a processor core, two schemes are given for vertically placing a core onto multilayers. The 3D processor with the CVP technique can be better cooled since its separated hotspot blocks have larger total contact area with the cooler surroundings. 2) Thermoelectric cooling (TEC) technique. We propose to incorporate the TEC technique into the liquid cooled 3D processor to enhance the cooling to hotspots. Our experiments show the CVP technique reduces the maximum temperature up to 29.58 ºC, and 16.64 ºC on average compared with the baseline. Moreover, the TEC technique effectively cools down a hotspot from 96.86 ºC to 78.60 ºC.