ISQED'03 Plenary Speeches

 

 

1P.1 Platform Leadership in the Ambient Intelligence Era

 

Bob Payne

US CTO and Senior Vice President/GM of System ASIC Technology, Philips Semiconductors

 

Design reuse has become essential to cope with the ever-increasing design complexity. IP level reuse alone has proven insufficient. Platform based design allows the validation of a robust combination of IP blocks and provides a reference HW and SW baseline which can be supported with an integrated development environment. Several years ago we transitioned into the streaming data era with most systems serving as content generation appliances, content consumption appliances or content distribution equipment. Now we have entered the age of ambient intelligence where the streaming data is served up through wireless links. What will platform leadership look like in this new era? How will the SoC infrastructure change as we move to 90nm technology with more than 30M gate per square centimeter integration capacity? How are usage patterns changing and what represents the killer application that enhances the users quality of life by enabling more advanced interaction with the ambient intelligence? What is it going to take to make a step function improvement in system level design productivity? What happens when power optimization becomes the dominant design consideration? What about SoC affordability? What will the SoC design of the future look like? These are just some of the thought provoking issues that will be addressed in Bob Payne’s keynote.      

 

 

 

 

1P.2    Quality SoC Design and Implementation for Real Manufacturability

   

 

Susumu Kohyama

Corporate Senior Vice President, Toshiba Corporation

 

Device miniaturization near 100nm node and beyond together with extreme multi-level interconnect started to create fundamental economical and engineering challenges. Especially, past success model of “Layer Masters” confessed difficulties to fill the gaps between each separated layers to complete integrated results, for meeting performance and yield with a reasonable timing. However, it is also obvious that classic IDM model proved to be so inefficient,  since inevitable separation and standardization of various aspects of design and technology are not established adequately. Those issues are even more significant when we discuss complex SoCs for 90nm and 65nm nodes, where design and implementation commingle in various different manners. A solution for these challenges is a new open idm model where open collaboration and strong differentiator are essential.

This presentation will discuss from a “SOC Centric Open IDM” perspective, the whole flow of design and implementation for real manufacturability, where true knowledge of integration and management skill function to enhance differentiators on top of open platforms.    

 

 

 

1P.3    Quality Challenges of the Nanometer Design Realm

           

Ted vucurevich

Senior Vice President and Chief Technical Office, Cadence Design Systems, Inc.

 

It is commonly agreed that sub-nanometer design is electronic design technology’s next big challenge. With the economic stakes higher than ever, the vendors of electronic design solutions must put themselves into their customers’ shoes through comprehensive, high-quality programs. My understanding of the differences designers face at geometries below 100 nanometers has led to my discussion of some of the challenges the industry faces in the sub-nanometer realm. This includes the domination of wires in digital design, which requires the ability to design the best quality wires through continuous convergence, a wire-centric methodology. In the nanometer world, the front-end and back-end disappear, leaving the prototype as the chip. This includes detailed wiring, and a new full-chip iteration every day. Most sub-nanometer ICs and SOCs will be digital/mixed-signal. This leads to custom design issues, such as integrating sensitive circuits with massive digital and mixed-signal design, productivity and foundry interface. Nanometer soc verification includes digital, analog and software, and a 70 percent silicon re-spin rate because of associated functional errors. At sub-nanometer levels, design-in becomes a major bottleneck, especially across a design chain, which can only be solved by silicon-package-board co-design.        

 

2P.1 Addressing the IC Designer’s Needs: Integrated Design Software for Faster, More Economical Chip Design  

 

 

Rajeev Madhavan

Chairman & CEO, Magma Design Automation  

 

Electronic design automation continues to attract a great deal of investment from the venture community, fostering the creation of startup companies focused on developing unique point-tool solutions. While many innovative new technologies come from this, industry must consider the increasingly critical need of ic designers and manufacturers: integrated design flows that enable the design and production of chips with fewer resources and in less time, without compromising the quality of results. Increasingly evident is the advantage of integrated design and the economies it brings while delivering the same quality of results as point-tool-based approaches.  The future of eda depends on the industry’s ability to deliver solutions that enable the ic industry’s integration of electronic design tools and processes as it relies on eda to provide the means for producing the next generation of semiconductor products.

 

 

 

2P.2    Closing the Gap Between ASIC and Full Custom: A Path to Quality Design  

 

 

Michael Reinhardt

President & CEO, RubiCad Corporation



 

 

 

Although process technology has shrunk down to nanometer features over the last decade, the gap between ASIC design and full-custom ic design has widened. This gap includes significant differences in performance, price, and profit between the two design styles. It is also revealed by huge differences in quality between the two styles in speed, power distribution and consumption, yield, and reliability, in some cases as much as an order of magnitude. To fully utilize the latest process technologies, a full-custom design approach with the productivity of an ASIC flow is necessary.

Michael Reinhardt will start with an analysis of how the gap between ASIC and full-custom design began, and discuss its long-term consequences on the whole industry. He will then show the positive effects on the quality of IC design, and on the chip industry’s economic situation, which can occur if this gap can be closed. He will illustrate possible strategies and solutions for achieving this closure, and how they can be implemented right now in practical ways.

 

 


 

 

 

2P.3   A VLSI System Perspective for  Microprocessors Beyond 90nm

 

 

Shekhar Borkar

Fellow & Director of Circuit Research lab, Intel Corporation



 

 

 

Microprocessor performance increased by five orders of magnitude in the last three decades. This was made possible by continued technology scaling, improving transistor performance to increase frequency, increasing integration capacity to realize complex architectures, and reducing energy consumed per logic operation to keep power dissipation within limit. The technology treadmill will continue to fulfill the microprocessor performance demand; however, with some adverse effects posing barriers—limited by power delivery and dissipation—and not by manufacturing or cost. Therefore, performance at any cost will not be an option; significant improvements in efficiency of transistor utilization will be necessary. This talk will discuss potential solutions in all disciplines, such as microarchitecture, circuits, design technologies & methodologies, thermals, and power delivery, to overcome these barriers for microprocessors beyond 90nm.          

 

 


Home| Conference| Committee| Sponsors| Resources| Archive| News

International Society for Quality Electronic Design (ISQED Org.)
Copyright © 1998-2002 ISQED. All rights reserved.
Revised: September 01, 2003