A Reverse Write Assist Circuit for SRAM Dynamic Write VMIN Tracking using Canary SRAMs

Arijit Banerjee1,  Mahmut E. Sinangil2,  John Poulton2,  C. Thomas Gray2,  Benton H. Calhoun1
1University of Virginia, 2NVIDIA


Abstract

SRAMs occupy a large amount of area in modern system on chip circuits. With the growing trend of device scaling in deep sub-micron technologies, the 6T SRAM write operation is more vulnerable than the read operation from a failure standpoint. In order to make the SRAMs operate correctly, we must design them with some guard band above the minimum operating voltage (VMIN) by designing for the worst case. In this paper, we investigate a reverse write assist circuit scheme that enables the detection of SRAM write VMIN by using canary SRAM bitcells to track dynamic voltage, temperature fluctuations and aging effects. This circuit ultimately allows us to lower the write VMIN below the worst case corner (SF_85C) VMIN, which saves a minimum of 30.7% energy per cycle at the SS_85C, and a maximum of 51.5% energy per cycle at the FS_85C corner.