Process Variation Aware Crosstalk Mitigation for DWDM based Photonic NoC Architectures

SAI VINEEL REDDY CHITTAMURU, Ishan Thakkar, Sudeep Pasricha
Colorado State University


Abstract

Photonic network-on-chip (PNoC) architectures are a potential candidate for communication in future chip multi processors as they can attain higher bandwidth with lower power dissipation than electrical NoCs. PNoCs typically employ dense wavelength division multiplexing (DWDM) for high bandwidth transfers. Unfortunately, DWDM increases crosstalk noise and decreases optical signal to noise ratio (SNR) in microring resonators (MRs) threatening the reliability of data communication. Additionally, process variations induce variations in the width and thickness of MRs causing resonance wavelength shifts in MRs, which further reduces signal integrity, leading to communication errors and bandwidth loss. In this paper, we propose a novel encoding mechanism that intelligently adapts to on-chip process variations, and improves worst-case SNR by reduc-ing crosstalk noise in MRs used within DWDM-based PNoCs. Experimental results on the Corona PNoC architecture indicate that our approach improves worst-case SNR by up to 44.13%.