ISQED 2013 Program, Rev.5 (3/1/2013)


SESSION 1A

Tuesday March 5, 2013

Three Dimensional Circuits and Packaging

Chair: Farhang Yazdani, BroadPak
Co-Chair: John Park, Mentor Graphics

10:20AM
1A.1
Hetero2 3D Integration: A Scheme for Optimizing Efficiency/Cost of Chip Multiprocessors
Shivam Priyadarshi1,  Niket Choudhary1,  Brandon Dwiel1,  Ankita Upreti1,  Eric Rotenberg2,  Rhett Davis3,  Paul Franzon2
1Graduate Student, North Carolina State University, Raleigh, 2Professor, North Carolina State University, Raleigh, 3Associate Professor, North Carolina State University, Raleigh

10:40AM
1A.2
Effective Thermal Control Techniques for Liquid-Cooled 3D Multi-Core Processors
Yue Hu,  Shaoming Chen,  Lu Peng,  Edward Song,  Jin-Woo Choi
Louisiana State University

11:00AM
1A.3
Reliability-Constrained Die Stacking Order in 3DICs Under Manufacturing Variability
Tuck-Boon Chan,  Andrew B. Kahng,  Jiajia Li
University of California, San Diego

11:20AM
1A.4
Analytical Modeling and Numerical Simulations of Temperature Field in TSV-based 3D ICs
Yuriy Shiyanovskii1,  Chris Papachristou1,  Cheng-Wen Wu2
1Case Western Reserve University, 2National Tsing Hua University / Industrial Technology Research Institute

11:40AM
1A.5
New Electrical Design Verification Approach for 2.5D/3D Package Signal and Power Integrity
Nozad Karim
Amkor Technology


SESSION 1B

Tuesday March 5, 2013

Aging aware design

Chair: Riza Naseer, Intel
Co-Chair: Srivinas Bodapati, Intel

10:20AM
1B.1
An Arbitrary Stressed NBTI Compact Model for Analog/Mixed-Signal Reliability Simulations
Jinbo Wan and Hans Kerkhoff
Testable Design and Testing of Integrated Systems Group, University of Twente, Netherlands

10:40AM
1B.2
Impacts of NBTI and PBTI Effects on Ternary CAM
Yen-Han Lee,  Ing-Chao Lin,  Sheng-Wei Wang
National Cheng Kung University, Taiwan

11:00AM
1B.3
On Predicting NBTI-induced Circuit Aging by Isolating Leakage Change
Yinhe Han1,  Song Jin1,  Jiebing Qiu1,  Qiang Xu2,  Xiaowei Li1
1Institute of Computing Techology, Chinese Academy of Sciences, 2The Chinese University of Hong Kong

11:20AM
1B.4
Aging-aware Timing Analysis Considering Combined Effects of NBTI and PBTI
Saman Kiamehr,  Farshad Firouzi,  Mehdi B. Tahoori
Karlsruhe Institute of Technology (KIT)

11:40AM
1B.5
Flexible Data Allocation for Scratch-pad Memories to Reduce NBTI Effects
Dimitra Papagiannopoulou,  Patipan Prasertsom,  Iris Bahar
Brown University


SESSION 1C

Tuesday March 5, 2013

3D Integrated Circuits

Chair: Houman Homayoun, University of California San Diego
Co-Chair: Jose Silva Matos, University of Porto, Portugal

10:20AM
1C.1
Runtime 3-D Stacked Cache Management for Chip-Multiprocessors
Jongpil Jung1,  Kyungsu Kang2,  Giovanni De Micheli2,  Chong-Min Kyung1
1KAIST, 2EPFL

10:40AM
1C.2
A Co-Synthesis Methodology for Power Delivery and Data Interconnection Networks in 3D ICs
Nishit Kapadia and Sudeep Pasricha
Colorado State University

11:00AM
1C.3
Temperature Aware Thread Migration in 3D Architecture with Stacked DRAM
Dali Zhao1,  Houman Homayoun2,  Alex V. Veidenbaum1
1University of California, Irvine, 2George Mason University

11:20AM
1C.4
A System-level Solution for Managing Spatial Temperature Gradients in Thinned 3D ICs
Arunachalam Annamalai,  Raghavan Kumar,  Arunkumar Vijayakumar,  Sandip Kundu
University of Massachusetts Amherst

11:40AM
1C.5
Vertically-Addressed Test Structures (VATS) for 3D IC Variability and Stress Measurements
Conor O'Sullivan,  Peter Levine,  Siddharth Garg
University of Waterloo


SESSION 2A

Tuesday March 5, 2013

System Level Energy

Chair: Jose Silva Matos, University of Porto, Portugal
Co-Chair: Rajesh Berigei, Texas Instruments

1:30PM
2A.1
Energy-Aware Coarse-Grained Reconfigurable Architectures using Dynamically Reconfigurable Isolation Cells
Syed M. A. H. Jafri1,  Ozan Zeki Bag1,  Ahmed Hemani1,  Nasim Farahini1,  Kolin Paul1,  Juha Plosila2,  Hannu Tenhunen1
1Royal Institute of Technology (KTH) Sweden, 2University of Turku (UTU) Finland

1:50PM
2A.2
Hybrid CMOS-TFET based Register Files for Energy-Efficient GPGPUs
Zhi Li,  Jingweijia Tan,  Xin Fu
University of Kansas

2:10PM
2A.3
Compiler-assisted Leakage Energy Optimization of Media Applications on Stream Architectures
Shan Cao1,  Zhaolin Li2,  Zhixiang Chen1,  Guoyue Jiang1,  Shaojun Wei1
1Institute of Microelectronics, Tsinghua University, 2Tsinghua National Laboratory for Information Science and Technology, Research Institute of Information Technology, Tsinghua University

2:30PM
2A.4
On a Rewriting Strategy for Dynamically Managing Power Constraints and Power Dissipation in SoCs
Vinod Viswanath1,  Rajeev Muralidhar2,  Harinarayanan Seshadri2,  Jacob Abraham3
1Real Intent Inc., 2Intel Corp., 3University of Texas at Austin

2:50PM
2A.5
Sustainable Dual-Level DVFS-enabled NoC with On-chip Wireless Links
Jacob Murray,  Rajath Hegde,  Teng Lu,  Partha Pande,  Behrooz Shirazi
Washington State University

3:10PM
2A.6
On the Selection of Adder Unit in Energy Efficient Vector Processing
Ivan Ratkovic,  Oscar Palomar,  Milan Stanic,  Osman S. Unsal,  Adrian Cristal,  Mateo Valero
Barcelona Supercomputing Center


SESSION 2B

Tuesday March 5, 2013

Low Power System Design

Chair: Amin Khajeh Djahromi, Intel
Co-Chair: Krishnan Sundaresan, Oracle America, Inc.

1:30PM
2B.1
Low-Energy Digital Filter Design Based on Controlled Timing Error Acceptance
Ku He,  Andreas Gerstlauer,  Michael Orshansky
The University of Texas at Austin

1:50PM
2B.2
A Novel and Efficient Method for Power Pad Placement Optimization
Ting Yu and Martin. D. F. Wong
UIUC

2:10PM
2B.3
Min-Cut Based Leakage Power Aware Scheduling in High-Level Synthesis
Nan Wang1,  Song Chen2,  Takeshi Yoshimura1
1Graduate School of IPS, Waseda University, 2University of Science and Technology of China

2:30PM
2B.4
Hierarchical Dynamic Power Management Using Model-Free Reinforcement Learning
Yanzhi Wang1,  Maryam Triki2,  Xue Lin1,  Ahmed Ammari2,  Massoud Pedram1
1University of Southern California, 2Carthage University

2:50PM
2B.5
Accurate Architecture-level Thermal Analysis Methods for MPSoC with Consideration for Leakage Power Dependence on Temperature
Jiaqi Yan,  Zuying Luo,  Liang Tang
Beijing Normal University, 19 XinJieKouWai Street, Beijing, P.R.CHINA

3:10PM
2B.6
Application-Driven Power Efficient ALU Design Methodology for Modern Microprocessors
Na Gong1,  Jinhui Wang2,  Ramalingam Sridhar1
1University at Buffalo, SUNY, 2VLSI and System Lab, Beijing University of Technology


SESSION 2C

Tuesday March 5, 2013

Emerging Devices and Design Techniques

Chair: Paul Tong, Pericom Semiconductor
Co-Chair: Bao Liu, UT San Antonio

1:30PM
2C.1
Low Power and Compact Mixed-Mode Signal Processing Hardware using Spin-Neurons
Mrigank Sharad1,  Deliang Fan2,  Kaushik Roy2
1Purdue University, 2Purdue Univeristy

1:50PM
2C.2
System-level Optimization and Benchmarking for InAs Nanowire Based Gate-All-Around Tunneling FETs
Chenyun Pan,  Ahmet Ceyhan,  Azad Naeemi
Georgia Institute of Technology

2:10PM
2C.3
Impact of Conventional and Emerging Interconnects on the Circuit Performance of Various Post-CMOS Devices
Ahmet Ceyhan and Azad Naeemi
Georgia Institute of Technology

2:30PM
2C.4
Reducing IR Drop in 3D Integration to Less Than 1/4 Using Buck Converter on Top Die (BCT) Scheme
Yasuhiro Shinozuka1,  Hiroshi Fuketa1,  Koichi Ishida1,  Futoshi Furuta2,  Kenichi Osada2,  Kenichi Takeda2,  Makoto Takamiya1,  Takayasu Sakurai1
1University of Tokyo, 2Association of Super-Advanced Electronics Technologies (ASET)

2:50PM
2C.5
Energy-Efficient Spin-Transfer Torque RAM Cache Exploiting Additional All-Zero-Data Flags
Jinwook Jung,  Yohei Nakata,  Masahiko Yoshimoto,  Hiroshi Kawaguchi
Kobe University

3:10PM
2C.6
Design Of Ultra High Density And Low Power Computational Blocks using Nano-Magnets
Mrigank Sharad,  Karthik Yogendra,  Kon-Woo Kwon,  Kaushik Roy
Purdue University


SESSION 3A

Tuesday March 5, 2013

Advances in Routing and Timing

Chair: Mark Young, Texas Instruments
Co-Chair: Andre Reis, Universidade Federal do Rio Grande do Sul 

3:50PM
3A.1
LMgr: A Low-Memory Global Router with Dynamic Topology Update and Bending-Aware Optimum Path Search
Jingwei Lu1 and Chiu-Wing Sham2
1Department of Computer Science and Engineering, University of California, San Diego, 2Department of Electronic and Information Engineering, The Hong Kong Polytechnic University

4:10PM
3A.2
Vision-inspired Global Routing for Enhanced Performance and Reliability
Jun Yong Shin,  Nikil Dutt,  Fadi Kurdahi
UC Irvine

4:30PM
3A.3
Crosstalk Timing Windows Overlap in Statistical Static Timing Analysis
Hanif Fatemi and Peivand Tehrani
Synopsys, Inc.

4:50PM
3A.4
Multi-objective Optimization Algorithm for Efficient Pin-constrained Droplet Routing Technique in Digital Microfluidic Biochip
Soumyajit Chatterjee,  Hafizur Rahaman,  Tuhina Samanta
Bengal Engineering & Science University, Shibpur, Howrah, India

5:10PM
3A.5
Advances in Wire Routing
Martin D.F. Wong
University of Illinois at Urbana-Champaign


SESSION 3B

Tuesday March 5, 2013

Global Circuit Design

Chair: Abhilash Goyal, Oracle
Co-Chair: Riaz Naseer, Intel

3:50PM
3B.1
Effectiveness of Hybrid Recovery Techniques on Parametric Failures
Shrikanth Ganapathy1,  Ramon Canal1,  Antonio Gonzalez2,  Antonio Rubio3
1Department of Computer Architecture, Universitat Politecnica de Catalunya, 2Intel Barcelona Research Center, 3Department of Electronic Engineering, Universitat Politecnica de Catalunya

4:10PM
3B.2
Fast Reliability Exploration for Embedded Processors via High-level Fault Injection
Zheng Wang,  Chao Chen,  Anupam Chattopadhyay
MPSoC Architectures, RWTH-Aachen

4:30PM
3B.3
Analysis and Reliability Test to Improve the Data Retention Performance of EPROM Circuits
Jiyuan Luan and Michael DiVita
Texas Instruments

4:50PM
3B.4
Enabling Sizing for Enhancing the Static Noise Margins
Valeriu Beiu1,  Azam Beg1,  Walid Ibrahim1,  Fekri Kharbash1,  Massimo Alioto2
1UAEU, 2U Michigan Ann Arbor

5:10PM
3B.5
SRAM Bit-line Electromigration Mechanism and its Prevention Scheme
Zhong Guan1,  Malgorzata Marek-Sadowska1,  Sani Nassif2
1Dept. of Electrical and Computer Engineering, UC Santa Barbara, 2IBM Austin Research Laboratory


SESSION 3C

Tuesday March 5, 2013

CAD for 3-D ICs

Chair: Masahiro Fujita, University of Tokyo
Co-Chair: Anand Iyer, AMD

3:50PM
3C.1
Cost-driven 3D Design Optimization with Metal Layer Reduction Technique
Qiaosha Zou1,  Jing Xie2,  Yuan Xie3
1Pennsylvania State University, 2Penn State University, 3Penn State University and AMD rsearch

4:10PM
3C.2
TSV-aware Topology Generation for 3D Clock Tree Synthesis
Wulong Liu1,  Haixiao Du1,  Yu Wang1,  Yuchuan Ma2,  Yuan Xie3,  Jinguo Quan4,  Huazhong Yang1
1Dept. of E.E., TNList, Tsinghua University, Beijing, China, 2Dept. of C.S., TNList, Tsinghua University, Beijing, China, 3Dept. of CSE, Pennsylvania State Univ., USA, 4Graduate School at Shenzhen, Tsinghua University, China

4:30PM
3C.3
Electrical and Thermal Analysis for Design Exchange Formats in Three Dimensional Integrated Circuits
Rishik Bazaz1,  Jianyong Xie1,  Madhavan Swaminathan2
1Student, Georgia Institute of Technology, 2Professor, Fellow IEEE, Georgia Institute of Technology

4:50PM
3C.4
Reliability Consideration with Rectangle- and Double-Signal Through Silicon Vias Insertion in 3D Thermal–Aware Floorplanning
Chih-han Hsu,  Shanq-Jang Ruan,  Ying-Jung Chen,  Tsang-Chi Kan
Department of Electronic Engineering National Taiwan University of Science and Technology, Taipei, Taiwan

5:10PM
3C.5
Configurable Redundant Via-Aware Standard Cell Design Considering Multi-Via Mechanism
Tsang-Chi Kan,  Hung-Ming Hong,  Ying-Jung Chen,  Shanq-Jang Ruan
Department of Electronic and Computer Engineering, National Taiwan University of Science and Technology, Taipei, Taiwan


POSTER SESSION & MIXER

Tuesday March 5, 2013

Poster Session & Mixer

Chair: Syed M Alam, Everspin Technologies
Co-Chair: Mark Budnik, Valparaiso University

5:30PM
P.1
A Novel Flow for Reducing Clock Skew Considering NBTI Effect and Process Variations
Jifeng Chen and Mohammad Tehranipoor
University of Connecticut

5:30PM
P.2
Suspicious Timing Error Prediction with In-Cycle Clock Gating
Youhua Shi,  Hiroaki Igarashi,  Nozomu Togawa,  Masao Yanagisawa
Waseda Univ.

5:30PM
P.3
Performance Entitlement by Exploiting Transistor’s BTI Recovery
Senthil Arasu1,  Mehrdad Nourani1,  Vijay Reddy2,  John Carulli3
1Univ. of Texas at Dallas, 2Texas Instruments Inc, Dallas, 3Texas Instruments Inc. Dallas

5:30PM
P.4
Device Design and Analysis of Logic Circuits and SRAMs for Germanium FinFETs on SOI and Bulk Substrates
Vita Pi-Ho Hu,  Ming-Long Fan,  Pin Su,  Ching-Te Chuang
National Chiao Tung University

5:30PM
P.5
A Novel 6T SRAM Cell with Asymmetrically Gate Underlap Engineered FinFETs for Enhanced Read Data Stability and Write Ability
Shairfe Salahuddin,  Hailong Jiao,  Volkan Kursun
Department of Electronic and Computer Engineering, The Hong Kong University of Science and Technology

5:30PM
P.6
Canonical Ordering of Instances to Immunize the FPGA Place and Route Flow from ECO-Induced Variance
Avijit Dutta,  Neil Tuttle,  Krishnan Anandh
Cypress Semiconductor Corporation

5:30PM
P.7
Architecture for Monitoring SET Propagation in 16-bit Sklansky Adder
Varadan Savulimedu Veeravalli and Andreas Steininger
Vienna University of Technology

5:30PM
P.8
Application of Six-Sigma DMAIC Methodology in the Evaluation of Test Effectiveness: A Case Study for EDA Tools
Eman El Mandouh
Quality Assurance Manager , Mentor Graphics

5:30PM
P.9
A Low Power Deflection Routing Method for Bufferless NoC
Chung-Kai Hsu1,  Kun-Lin Tsai2,  Jing-Fu Jheng1,  Shanq-Jang Ruan1,  Chung-An Shen1
1National Taiwan University of Science and Technology, 2Tunghai University

5:30PM
P.10
A 64-core Platform for Biomedical Signal Processing
Jordan Bisasky1,  Houman Homayoun2,  Farhang Yazdani3,  Tinoosh Mohsenin1
1Univ of Maryland - BC, 2George Mason University, 3BroadPak

5:30PM
P.11
Improving Timing Error Tolerance without Impact on Chip Area and Power Consumption
Ken Yano,  Takanori Hayashida,  Toshinori Sato
Fukuoka University

5:30PM
P.12
System-Level Modelling of Dynamic Reconfigurable Designs using Functional Programming Abstractions
Bahram Najafi Uchevler1,  Kjetil Svarstad1,  Jan Kuper2,  Christiaan Baaij2
1Department of Electronics and Telecommunication, NTNU, Norway, 2Department of Computer Science, University of Twente

5:30PM
P.13
Design of a 6 Gbps Continuous-Time Adaptive Equalizer Using a Voltage Rectifier Instead of a Power Detector
Krishna Srinivasan and Jonathan Rosenfeld
Intel Corporation

5:30PM
P.14
A Predictable Compact Model for Non-monotonous Vth-Pelgrom Plot of Long Channel Halo-implanted Transistors
Shigetaka Kumashiro
Renesas Electronics Corporation

5:30PM
P.15
Manufacturable Nanometer Designs using Standard Cells with Regular Layout
Kasyab Parmesh Subramaniyan and Per Larsson-Edefors
Chalmers University of Technology

5:30PM
P.16
Fast Analog Design Optimization using Regression-based Modeling and Genetic Algorithm: A Nano-CMOS VCO Case Study
Dhruva Ghai1,  Saraju Mohanty2,  Garima Thakral1
1Oriental University, Indore, India, 2University of North Texas, USA

5:30PM
P.17
Low Power Sensor for Temperature Compensation in Molecular Biosensing
Daniela De Venuto
Politecnico di Bari, Italy

5:30PM
P.18
A Power Efficient and Digitally Assisted CMOS Complementary Telescopic Amplifier with Wide Input Common Mode Range
Rishi Todani and Ashis Kumar Mal
National Institute of Technology, Durgapur


SESSION 4A

Wednesday March 6, 2013

Low Power Technologies

Chair: Syed M. Alam, Everspin Technologies
Co-Chair: Dinesh Somasekhar, Intel

10:20AM
4A.1
Peak Power Reduction of a Sensor Network Processor Fabricated With Deeply Depleted Channel Transistors in 65nm Technology
Kentaro Kawakami,  Takeshi Shiro,  Hironobu Yamasaki,  Katsuhiro Yoda,  Hiroaki Fujimoto,  Kenichi Kawasaki,  Yasuhiro Watanabe
Fujitsu Laboratories Ltd.

10:40AM
4A.2
Evaluation of Tunnel FET-based Flip-Flop Designs for Low Power, High Performance Applications
Matthew Cotter,  Huichu Liu,  Suman Datta,  Vijaykrishnan Narayanan
The Pennsylvania State University

11:00AM
4A.3
A Cost-effective 45nm 6T-SRAM Reducing 50mV Vmin and 53% Standby Leakage with multi-Vt Asymmetric Halo MOS and Write Assist Circuitry
Koji Nii1,  Makoto Yabuuchi1,  Hidehiro Fujiwara1,  Yasumasa Tsukamoto1,  Yuichiro Ishii1,  Tetsuya Matsumura1,  Yoshio Matsuda2
1Renesas Electronics Corporation, 2Kanazawa University

11:20AM
4A.4
CPDI: Cross-Power-Domain Interface Circuit Design in Monolithic 3D Technology
Jing Xie1,  Yang Du2,  Yuan Xie3
1The Pennsylvania State University, 2Qualcomm, Inc., 3The Pennsylvania State University and AMD Research

11:40AM
4A.5
Impact of Process Parameter and Supply Voltage Fluctuations on Multi-Threshold-Voltage Seven-Transistor Static Memory Cells
Hong Zhu and Volkan Kursun
Hong Kong University of Science and Technology


SESSION 4B

Wednesday March 6, 2013

Silicon Diagnosis and Test

Chair: Sreejit Chakravarty, LSI Logic
Co-Chair: Srivatsa Vasudevan, Synopsys

10:20AM
4B.1
Input-Aware Statistical Timing Analysis-Based Delay Test Pattern Generation
Bao Liu and Lu Wang
University of Texas at San Antonio

10:40AM
4B.2
Effect-Cause Intra-Cell Diagnosis at Transistor Level
Zhenzhou Sun1,  Alberto Bosio2,  Luigi Dilillo3,  Patrick Girard3,  Aida Todri3,  Arnaud Virazel2,  Etienne Auvray4
1LIRMM - ST, 2LIRMM - UM2, 3LIRMM - CNRS, 4ST

11:00AM
4B.3
Framework for Analog Test Coverage
Debesh Bhatta1,  Ishita Mukhopadhyay2,  Suriyaprakash Natarajan3,  Prashant Goteti3,  Bin Xue3
1Georgia Institute of Technology, 2Cornell University, 3Intel Corporation

11:20AM
4B.4
Fast FPGA-Based Fault Injection Tool for Embedded Processors
Mohammad Shokrolah Shirazi,  Brendan Morris,  Henry Selvaraj
University of Nevada, Las Vegas

11:40AM
4B.5
Diagnosis of Small Delay Defects Arising Due to Manufacturing Imperfections using Path Delay Measurements
Ahish Mysore Somashekar and Spyros Tragoudas
SIUC


SESSION 4C

Wednesday March 6, 2013

New Ideas in CAD

Chair: James Lei, Altera
Co-Chair: Debasish Das, Synopsys

10:20AM
4C.1
Tabu Search Based Cells Placement in Nanofabric Architectures with Restricted Connectivity
Sadiq M. Sait and Abdalrahman M. Arafeh
King Fahd University of Petroleum & Minerals

10:40AM
4C.2
Relocatable and Resizable SRAM Synthesis for Via Configurable Structured ASIC
Hsin-Hung Liu,  Rung-Bin Lin,  I-Lun Tseng
Yuan Ze University

11:00AM
4C.3
Cost-Efficient Scheduling in High-Level Synthesis for Soft-Error Vulnerability Mitigation
Yuko Hara-Azumi1 and Hiroyuki Tomiyama2
1Nara Institute of Science and Technology, 2Ritsumeikan University

11:20AM
4C.4
Analysis of Very Large Resistive Networks Using Low Distortion Embedding
Sandeep Koranne
Mentor Graphics Corporation

11:40AM
4C.5
Efficient Translation Validation of High-Level Synthesis
Tun Li,  Yang Guo,  Wanwei Liu,  Chiyuan Ma
NUDT


SESSION 5A

Wednesday March 6, 2013

System Design Methodologies and Automation

Chair: Makram Mansour, Texas Instruments
Co-Chair: Sudeep Pasricha, Colorado State University

1:30PM
5A.1
Performance and Cache Access Time of SRAM-eDRAM Hybrid Caches Considering Wire Delay
Young-Ho Gong,  Hyung Beom Jang,  Sung Woo Chung
Department of Computer and Radio Communication Engineering, Korea University

1:50PM
5A.2
Increasing the Security Level of Analog IPs by Using a Dedicated Vulnerability Analysis Methodology
Noemie Beringuier-Boher1,  David Hely2,  Vincent Beroulle2,  Joel Damiens3,  Philippe Candelier3
1Grenoble-INP LCIS and STMicroelectronics, 2Grenoble-INP LCIS, 3STMicroelectronics

2:10PM
5A.3
High-speed DFG-level SEU Vulnerability Analysis for Applying Selective TMR to Resource-Constrained CGRA
Takashi Imagawa,  Hiroshi Tsutsui,  Hiroyuki Ochi,  Takashi Sato
Kyoto University

2:30PM
5A.4
Geostatistics Inspired Fast Layout Optimization of Nanoscale CMOS Phase Locked Loop
Oghenekarho Okobiah,  Saraju Mohanty,  Elias Kougianos
University of North Texas, Denton

2:50PM
5A.5
Performance Validation Through Implicit Removal of Infeasible Paths of the Behavioral Description
Dheepakkumaran Jayaraman and Spyros Tragoudas
Southern Illinois University Carbondale

3:10PM
5A.6
Early System Level Modeling of Real-time Applications on Embedded Platforms
Richard Lee1,  Karim Abdel-Khalek1,  Frederic Risacher2,  Samar Abdi1
1Concordia University, 2Research In Motion


SESSION 5B

Wednesday March 6, 2013

Manufacturing and Modeling Issues of Nanoscale CMOS

Chair: Mustafa Yelten, Intel
Co-Chair: Rajan Beera, Texas Instruments

1:30PM
5B.1
SUALD: Spacing Uniformity-Aware Layout Decomposition in Triple Patterning Lithography
Zihao Chen1,  Hailong Yao2,  Yici Cai2
1Tsinghua University, China, and Department of Microelectronics, Peking University, Beijing 100871, China, 2Tsinghua University, China

1:50PM
5B.2
Stochastic Behavioral Modeling of Analog/Mixed-Signal Circuits by Maximizing Entropy
Rahul Krishnan1,  Wei Wu1,  Fang Gong1,  Lei He2
1Student Member, IEEE, 2Senior Member, IEEE

2:10PM
5B.3
Analysis, Modeling and Silicon Correlation of Low-voltage Flop Data Retention in 28nm Process Technology
Animesh Datta,  Mohamed Abu-Rahma,  Sachin Dasnurkar,  Hadi Rasouli,  Sean Tamjidi,  Ming Cai,  Samit Sengupta,  PR Chidambaram,  Raghavan Thirumala,  Nikhil Kulkarni,  Prasanna Seeram,  Prasad Bhadri,  Prayag Patel,  Sei Seung Yoon,  Esin Terzioglu
Qualcomm

2:30PM
5B.4
A Comparator Energy Model Considering Shallow Trench Isolation Stress by Geometric Programming
Gong Chen1,  Yu Zhang1,  Bo Yang2,  Qing Dong1,  Shigetoshi Nakatake1
1The University of Kitakyushu, 2Design Algorithm Laboratory, Inc.

2:50PM
5B.5
Wire Delay Variability in Nanoscale Technology and Its Impact on Physical Design
Sani Nassif,  Gi-Joon Nam,  Shayak Banerjee
IBM Research

3:10PM
5B.6
Multi-trap RTN Parameter Extraction based on Bayesian Inference
Hiromitsu Awano,  Hiroshi Tsutsui,  Hiroyuki Ochi,  Takashi Sato
Kyoto University


SESSION 5C

Wednesday March 6, 2013

Multi-core and Multi-processor Systems

Chair: Bin Wu, AMD
Co-Chair: Amir Ajami, Synopsys

1:30PM
5C.1
VERVE: A Framework for Variation-Aware Energy Efficient Synthesis of NoC-based MPSoCs with Voltage islands
Nishit Kapadia and Sudeep Pasricha
Colorado State University

1:50PM
5C.2
A Virtualization Approach for MIPS-based MPSoCs
Alexandra Aguiar,  Carlos Moratelli,  Marcos Sartori,  Fabiano Hessel
PUCRS

2:10PM
5C.3
Thermal-Aware Semi-Dynamic Power Management for Multicore Systems with Energy Harvesting
Yi Xiang and Sudeep Pasricha
Colorado State University

2:30PM
5C.4
On the Interactions Between Real-Time Scheduling and Inter-thread Cache Interferences for Multicore Processors
Yiqiang Ding and Wei Zhang
Virginia Commonwealth University

2:50PM
5C.5
Resource Allocation and Consolidation in a Multi-Core Server Cluster Using a Markov Decision Process Model
Yanzhi Wang,  Shuang Chen,  Hadi Goudarzi,  Massoud Pedram
University of Southern California

3:10PM
5C.6
Reliability-Aware and Energy-Efficient Synthesis of NoC based MPSoCs
Yong Zou and Sudeep Pasricha
Mr.


SESSION 6A

Wednesday March 6, 2013

Issues and Challenges in Characterization and Power Integrity for Nanometer Technologies

Chair: Srini Krishnamoorthy, AMD
Co-Chair: Vamsi Srikantam, Applied Micro

3:50PM
6A.1
CMOS Inverter Delay Model Based on DC Transfer Curve for Slow Input
Felipe Marranghello,  André Reis,  Renato Ribas
UFRGS

4:10PM
6A.2
RF Passive Device Modeling and Characterization in 65nm CMOS Technology
Errikos Lourandakis,  Stefanos Stefanou,  Konstantinos Nikellis,  Sotiris Bantas
Helic Inc.

4:30PM
6A.3
An Efficient Method for ECSM Characterization of CMOS Inverter in Nanometer Range Technologies
Baljit Kaur1,  Sandeep Miryala2,  Sanjeev Kumar Manhas1,  Anand Bulusu1
1Indian Institute of Technology, Roorkee,India, 2politecnico di torino, torino

4:50PM
6A.4
Power Integrity Analysis and Discrete Optimization of Decoupling Capacitors on High Speed Power Planes by Particle Swarm Optimization
Jai Narayan Tripathi1,  Raj Kumar Nagpal2,  Nitin Kumar Chhabra2,  Rakesh Malik2,  Jayanta Mukherjee1,  Prakash R. Apte1
1IIT Bombay, 2STMicroelectronics Pvt. Ltd.

5:10PM
6A.5
A Method to Determine the Sensitization Probability of a Non-Robustly Testable Path
Dheepakkumaran Jayaraman1 and Spyros Tragoudas2
1Nvidia Corporation, 2Southern Illinois University, Carbondale


SESSION 6B

Wednesday March 6, 2013

Low Power Circuits

Chair: Dinesh Somasekhar, Intel
Co-Chair: Amin Khajeh Djahromi, Intel

3:50PM
6B.1
A Power-Efficient On-Chip Linear Regulator Assisted by Switched Capacitors for Fast Transient Regulation
Suming Lai and Peng Li
Texas A&M University

4:10PM
6B.2
A Versatile Rail to Rail Current Mode Instrumentation Amplifier with an Embedded Band-pass Filter for Bio-potential Signal Conditioning
Anvesha Amaravati and Maryam Shojaei Baghini
IIT-Bombay

4:30PM
6B.3
A 0.2nJ/sample 0.01mm2 Ring Oscillator Based Temperature Sensor for On-Chip Thermal Management
Nicolo Testi and Yang Xu
ECE Department, Illinois Institute of Technology

4:50PM
6B.4
Analysis and Comparison of XOR Cell Structures for Low Voltage Circuit Design
Shinichi Nishizawa,  Tohru Ishihara,  Hidetoshi Onodera
Kyoto University

5:10PM
6B.5
A CMOS High Dimming Ratio Power-LED Driver with a Preloading Inductor Current Method
Kwang Yoon and Keon Lee
Inha University


SESSION 6C

Wednesday March 6, 2013

Reliable System Design

Chair: Mustafa Yelten, Intel
Co-Chair: Srivinas Bodapati, Intel

3:50PM
6C.1
Protection of Muller-Pipelines from Transient Faults
Syed Rameez Naqvi,  Jakob Lechner,  Andreas Steininger
Embedded Computing Systems, Vienna University of Technology, Vienna, Austria

4:10PM
6C.2
Minimizing Simultaneous Switching Noise at Reduced Power with Constant-Voltage Power Transmission Lines for High-Speed Signaling
Satyanarayana Telikepalli,  Madhavan Swaminathan,  David Keezer
Dept. of Electrical & Computer Engineering, Georgia Institute of Technology

4:30PM
6C.3
Reliable Express-Virtual-Channel-based Network-on-Chip under the Impact of Technology Scaling
Xin Fu1,  Tao Li2,  Jose Fortes2
1University of Kansas, 2University of Florida

4:50PM
6C.4
Clustering Techniques and Statistical Fault Injection for Selective Mitigation of SEUs in Flip-Flops
Adrian Evans1,  Michael Nicolaidis2,  Shi-Jie Wen3,  Thiago Assis4
1iRoC Technologies, 2Institut National Polytechnique de Grenoble, 3Cisco Systems, 4Vanderbilt University

5:10PM
6C.5
Easy-to-Build Arbiter Physical Unclonable Function with Enhanced Challenge/Response Set
Dinesh Ganta and Leyla Nazhandali
Virginia Tech